Traffic light controller

c_cosidx: 
76
c_moddate: 
2008-09-06 10:16:44
c_orderno: 
22
c_weekno: 
Lab 9
topics_long: 
Traffic light controller